summaryrefslogtreecommitdiff
path: root/classes/db/interface.php
blob: c5bfad56fbc2d20835cce54d54b172f9cd4fecb0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
<?php

interface Db_Interface
{
    public function connect($host, $user, $pass, $db);
    public function getLink();
    public function init();
    public function escape_string($s, $strip_tags = true);
    public function query($query, $die_on_error = true);
    public function fetch_assoc($result);
    public function num_rows($result);
    public function fetch_result($result, $row, $param);
    public function unescape_string($str);
    public function close();
    public function affected_rows($result);
    public function last_error();
    public function quote($str);
}